iverilog -o icounterout icounter.v
vvp icounterout
gtkwave icounte.vcd
iverilog -o filename filename.v
donde "filename.v" representa el archivo fuente (el que usted escribe).vvp filename
donde "filename" es el nombre que le dio al archivo compilado.// The following two system commands create a file for gtkview
// You may want to delete them if using verilogger-pro
$dumpfile("icounter.vcd");
$dumpvars ;
// Authomatically monitor variables "reset" or "value"
$monitor("Time = %g reset = %b count = %b",$time, reset, value);
Los primeros dos crean el archivo con extensión "vcd" y el $monitor imprime en la consola texto en forma de tabla.